中图网文创礼盒,买2个减5元
欢迎光临中图网 请 | 注册

EDA技术与应用

出版社:西安电子科技大学出版社出版时间:2017-06-01
开本: 32开 页数: 223
中 图 价:¥14.9(5.5折) 定价  ¥27.0 登录后可看到会员价
加入购物车 收藏
运费6元,满69元免运费
?快递不能达地区使用邮政小包,运费14元起
云南、广西、海南、新疆、青海、西藏六省,部分地区快递不可达
本类五星书更多>
微信公众号

EDA技术与应用 版权信息

  • ISBN:9787560645445
  • 条形码:9787560645445 ; 978-7-5606-4544-5
  • 装帧:暂无
  • 册数:暂无
  • 重量:暂无
  • 所属分类:>

EDA技术与应用 内容简介

本书是电子设计自动化(EDA)技术的基础教材。全书共7章,主要内容包括:EDA技术概述与可编程逻辑器件、工具软件Max+plusII/QuartusII、工具硬件SOPC简介、Verilog HDL硬件描述语言、VHDL硬件描述语言、程序设计实例、EDA实验及课程设计。 本书作为电子信息类专业EDA技术基础教材,以基础知识适度与结构体系鲜明为编写原则,注意了各部分知识的活化联系,重点突出,难度适中。考虑到应用型本科院校的特点和实际情况,对例题与习题做了精选,在保证必要的基本训练的基础上,适当降低其难度,努力拓宽知识面,尽量反映*新科技发展概况。 本书适合作为高等院校电子信息类专业相关课程的教材,也可作为高职高专院校电子设计自动化课程的教材或参考书,还可作为自学考试或函授教材。 本书作者精心制作了配套课件与课程资源可供老师选用(在出版社网站下载)。

EDA技术与应用 目录

第1章 EDA技术概述与可编程逻辑器件 1 1.1 EDA技术 1 1.1.1 EDA技术的含义 1 1.1.2 EDA技术的发展历程 1 1.1.3 EDA的应用 2 1.1.4 EDA技术的发展趋势 3 1.2 EDA软件系统的构成 3 1.2.1 电子电路设计与仿真工具 4 1.2.2 PCB设计软件 4 1.2.3 IC设计软件 5 1.2.4 PLD设计工具 6 1.2.5 其他EDA软件 7 1.3 硬件描述语言 7 1.3.1 HDL的发展历程 7 1.3.2 HDL的特点 8 1.3.3 VHDL系统设计的特点及优势 8 1.3.4 Verilog HDL的优点 9 1.4 可编程逻辑器件 9 1.4.1 可编程逻辑器件概述 9 1.4.2 PLD的分类 10 1.4.3 PLD产品介绍 11 1.4.4 PLD的配置 12 1.4.5 可编程逻辑器件的发展历史及未来趋势 14 第2章 工具软件MAX + plusⅡ/QuartusⅡ 16 2.1 常用软件 16 2.2 MAX + plusⅡ软件的使用 16 2.3 MAX + plusⅡ原理图输入使用示例 20 2.3.1 建立文件 20 2.3.2 编译环节 21 2.3.3 功能仿真设计文件 23 2.3.4 编程下载设计文件 26 2.4 QuartusⅡ软件的使用 31 2.4.1 建立工程 31 2.4.2 设计输入 35 2.4.3 电路仿真 37 第3章 工具硬件SOPC简介 41 3.1 EDA/SOPC开发系统 41 3.2 硬件使用验证示例 43 3.2.1 建立工程 44 3.2.2 选择器件 44 3.2.3 新建VHDL文件 46 3.2.4 编译环节 48 3.2.5 仿真功能设计文件 48 3.2.6 编程下载文件 50 3.2.7 硬件结果观察 52 第4章 Verilog HDL硬件描述语言 53 4.1 Verilog的基本语法 53 4.1.1 简单的Verilog HDL模块 53 4.1.2 数据类型及其常量、变量 55 4.1.3 Verilog HDL操作符 59 4.1.4 过程语句 66 4.1.5 赋值语句 67 4.1.6 块语句 69 4.1.7 条件语句 72 4.1.8 选择语句 74 4.1.9 循环语句 77 4.2 Verilog HDL的描述风格 79 4.2.1 结构型描述 79 4.2.2 数据流型描述 83 4.2.3 行为型描述 83 4.3 Verilog HDL的任务与函数 84 4.3.1 任务(Task) 84 4.3.2 函数(Function) 86 4.3.3 任务和函数的联系与区别 87 4.3.4 系统自定义任务和函数 88 第5章 VHDL硬件描述语言 94 5.1 VHDL 程序结构 94 5.1.1 库(LIBRARY) 94 5.1.2 程序包(PACKAGE) 96 5.1.3 实体(ENTITY) 98 5.1.4 结构体(ARCHITECTURE) 100 5.1.5 块语句结构(BLOCK) 102 5.1.6 进程(PROCESS) 103 5.1.7 子程序(SUBPROGRAM) 105 5.1.8 配置(CONFIGURATION) 107 5.2 VHDL语言要素 108 5.2.1 VHDL文字规则 108 5.2.2 VHDL数据对象 110 5.2.3 VHDL数据类型 113 5.2.4 VHDL操作符 117 5.3 VHDL顺序语句 119 5.3.1 赋值语句 119 5.3.2 流程控制语句 120 5.3.3 WAIT语句 126 5.3.4 子程序调用语句 126 5.3.5 返回语句(RETURN) 128 5.3.6 空操作语句(NULL) 129 5.4 VHDL并行语句 129 5.4.1 进程语句 130 5.4.2 块语句 131 5.4.3 并行信号赋值语句 131 5.4.4 并行过程调用语句 133 5.4.5 元件例化语句 134 5.4.6 类属映射语句 135 5.4.7 生成语句 135 5.5 VHDL描述风格 136 5.5.1 行为描述 136 5.5.2 数据流描述 138 5.5.3 结构描述 138 5.6 仿真 140 5.6.1 VHDL仿真 141 5.6.2 VHDL系统级仿真 142 5.7 综合 143 5.7.1 VHDL综合 143 5.7.2 优化技术 143 5.7.3 调度和分配 144 5.7.4 综合器 144 第6章 程序设计实例 146 6.1 Verilog程序实例 146 6.1.1 常见组合逻辑电路的设计 146 6.1.2 常见时序逻辑电路的设计 157 6.1.3 Verilog综合设计实例 165 6.2 VHDL程序实例 185 第7章 EDA实验及课程设计 198 7.1 课程实验部分 198 7.1.1 MAX + plusⅡ/QuartusⅡ软件图形设计 198 实验一 MAX + plusⅡ/QuartusⅡ软件应用 198 实验二 奇偶检测电路设计 199 实验三 同步计数器74161的应用 199 实验四 数据选择器74151的应用 200 实验五 3-8译码器 200 7.1.2 MAX + plusⅡ/QuartusⅡ软件VHDL设计 201 实验六 VHDL软件设计 201 实验七 编码器 202 实验八 数据比较器 203 实验九 组合逻辑电路的VHDL描述 204 实验十 计数器 206 实验十一 数字时钟 206 7.2 课程设计部分 208 设计一 BCD码加法器 208 设计二 四位全加器 209 设计三 出租车计费器 209 设计四 数字秒表 211 设计五 交通灯控制器 212 设计六 四人抢答器 214 设计七 四位并行乘法器 215 设计八 步长可变的加减法计数器 215 设计九 VGA彩条发生器 216 附录 试验箱接口资源I/O对照表 218 参考文献 223
展开全部
商品评论(0条)
暂无评论……
书友推荐
编辑推荐
返回顶部
中图网
在线客服