读书月福利
欢迎光临中图网 请 | 注册
> >>
EDA技术实用教程-Verilog HDL版-(第五版)

EDA技术实用教程-Verilog HDL版-(第五版)

作者:潘松
出版社:科学出版社出版时间:2013-11-01
开本: 16开 页数: 386
本类榜单:教材销量榜
中 图 价:¥18.1(4.3折) 定价  ¥42.0 登录后可看到会员价
暂时缺货 收藏
运费6元,满69元免运费
?快递不能达地区使用邮政小包,运费14元起
云南、广西、海南、新疆、青海、西藏六省,部分地区快递不可达
温馨提示:5折以下图书主要为出版社尾货,大部分为全新(有塑封/无塑封),个别图书品相8-9成新、切口
有划线标记、光盘等附件不全详细品相说明>>
本类五星书更多>

EDA技术实用教程-Verilog HDL版-(第五版) 版权信息

EDA技术实用教程-Verilog HDL版-(第五版) 本书特色

本书根据课堂教学和实验操作的要求,以提高实际工程设计能力为目的,深入浅出地对eda技术、verilog hdl硬件描述语言、fpga开发应用及相关知识做了系统和完整的介绍,使读者通过本书的学习并完成推荐的实验,能初步了解和掌握eda的基本内容及实用技术。   本书包括eda的基本知识、常用eda工具的使用方法和目标器件的结构原理、以向导形式和实例为主的方法介绍的多种不同的设计输入方法、对verilog的设计优化以及基于eda技术的典型设计项目。各章都安排了习题或针对性较强的实验与设计。书中列举的大部分verilog设计实例和实验示例实现的eda工具平台是quartus ii,硬件平台是cyclone iii系列fpga,并在eda实验系统上通过了硬件测试。   本书可作为高等院校电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理等学科的本科生或研究生的电子设计、eda技术课程和verilog hdl硬件描述语言的教材及实验指导书,同时也可作为相关专业技术人员的自学参考书。

EDA技术实用教程-Verilog HDL版-(第五版) 内容简介

本书根据课堂教学和实验操作的要求,以提高实际工程设计能力为目的,深入浅出地对EDA技术、Verilog HDL硬件描述语言、FPGA开发应用及相关知识做了系统和完整的介绍,使读者通过本书的学习并完成推荐的实验,能初步了解和掌握EDA的基本内容及实用技术。 本书包括EDA的基本知识、常用EDA工具的使用方法和目标器件的结构原理、以向导形式和实例为主的方法介绍的多种不同的设计输入方法、对Verilog的设计优化以及基于EDA技术的典型设计项目。各章都安排了习题或针对性较强的实验与设计。书中列举的大部分Verilog设计实例和实验示例实现的EDA工具平台是Quartus II,硬件平台是Cyclone III系列FPGA,并在EDA实验系统上通过了硬件测试。 本书可作为高等院校电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理等学科的本科生或研究生的电子设计、EDA技术课程和Verilog HDL硬件描述语言的教材及实验指导书,同时也可作为相关专业技术人员的自学参考书。

EDA技术实用教程-Verilog HDL版-(第五版) 目录

第1章 eda技术概述 
1.1 eda技术及其发展 
1.2 eda技术实现目标 
1.3 硬件描述语言verilog hdl 
1.4 其他常用hdl 
1.5 hdl综合 
1.6 自顶向下的设计技术 
1.7 eda技术的优势 
1.8 eda设计流程 
1.8.1 设计输入(原理图/hdl文本编辑) 
1.8.2 综合 
1.8.3 适配 
1.8.4 时序仿真与功能仿真 
1.8.5 编程下载 
1.8.6 硬件测试 
1.9 asic及其设计流程 
1.9.1 asic设计简介 
1.9.2 asic设计一般流程简述 
1.10 常用eda工具 
1.10.1 设计输入编辑器 
1.10.2 hdl综合器 
1.10.3 仿真器 
1.10.4 适配器 
1.10.5 下载器 
1.11 quartus ii概述 
1.12 ip核 
1.13 eda技术发展趋势管窥 
习题 
第2章 fpga与cpld的结构原理 
2.1 pld概述 
2.1.1 pld的发展历程 
2.1.2 pld分类 
2.2 简单pld结构原理 
2.2.1 逻辑元件符号表示 
2.2.2 prom结构原理 
2.2.3 pla结构原理 
2.2.4 pal结构原理 
2.2.5 gal结构原理 
2.3 cpld的结构原理 
2.4 fpga的结构原理 
2.4.1 查找表逻辑结构 
2.4.2 cyclone iii系列器件的结构原理 
2.5 硬件测试 
2.5.1 内部逻辑测试 
2.5.2 jtag边界扫描 
2.6 pld产品概述 
2.6.1 altera公司的pld器件 
2.6.2 lattice公司的pld器件 
2.6.3 xilinx公司的pld器件 
2.6.4 actel公司的pld器件 
2.6.5 altera的fpga配置方式 
2.7 cpld/fpga的编程与配置 
2.7.1 cpld在系统编程 
2.7.2 fpga配置方式 
2.7.3 fpga专用配置器件 
2.7.4 使用单片机配置fpga 
2.7.5 使用cpld配置fpga 
习题 
第3章 组合电路的verilog设计 
3.1 半加器电路的verilog描述 
3.2 多路选择器的verilog描述 
3.2.1 4选1多路选择器及case语句表述方式 
3.2.2 4选1多路选择器及assign语句表述方式 
3.2.3 4选1多路选择器及条件赋值语句表述方式 
3.2.4 4选1多路选择器及条件语句表述方式 
3.3 verilog加法器设计 
3.3.1 全加器设计及例化语句应用 
3.3.2 半加器的udp结构建模描述方式 
3.3.3 利用udp元件设计多路选择器 
3.3.4 8位加法器设计及算术操作符应用 
3.3.5 算术运算操作符 
3.3.6 bcd码加法器设计 
3.4 组合逻辑乘法器设计 
3.4.1 参数定义关键词parameter和localparam 
3.4.2 整数型寄存器类型定义 
3.4.3 for语句用法 
3.4.4 移位操作符及其用法 
3.4.5 两则乘法器设计示例 
3.4.6 repeat语句用法 
3.4.7 while语句用法 
3.4.8 parameter的参数传递功能 
3.5 rtl概念 
习题 
第4章 时序仿真与硬件实现 
4.1 verilog程序输入与仿真测试 
4.1.1 编辑和输入设计文件 
4.1.2 创建工程 
4.1.3 全程编译前约束项目设置 
4.1.4 全程综合与编译 
4.1.5 时序仿真 
4.1.6 rtl图观察器应用 
4.2 引脚锁定与硬件测试 
4.2.1 引脚锁定 
4.2.2 编译文件下载 
4.2.3 as直接编程模式 
4.2.4 jtag间接编程模式 
4.2.5 usb-blaster驱动程序安装方法 
4.3 电路原理图设计流程 
4.4 利用属性表述实现引脚锁定 
4.5 keep属性应用 
4.6 signalprobe使用方法 
4.7 宏模块逻辑功能查询 
习题 
实验与设计 
4-1 多路选择器设计实验 
4-2 8位加法器设计实验 
4-3 8位硬件乘法器设计实验 
4-4 十六进制7段数码显示译码器设计 
第5章 时序电路的verilog设计 
5.1 基本时序元件的verilog表述 
5.1.1 基本d触发器及其verilog表述 
5.1.2 用udp表述d触发器 
5.1.3 含异步复位和时钟使能的d触发器及其verilog表述 
5.1.4 含同步复位控制的d触发器及其verilog表述 
5.1.5 基本锁存器及其verilog表述 
5.1.6 含清0控制的锁存器及其verilog表述 
5.1.7 异步时序电路的verilog表述特点 
5.1.8 时钟过程表述的特点和规律 
5.2 二进制计数器及其verilog表述 
5.2.1 简单加法计数器及其verilog表述 
5.2.2 实用加法计数器设计 
5.3 移位寄存器的verilog表述与设计 
5.3.1 含同步预置功能的移位寄存器设计 
5.3.2 使用移位操作符设计移位寄存器 
5.4 可预置型计数器设计 
5.4.1 同步加载计数器 
5.4.2 异步加载计数器 
5.4.3 异步清0加载计数器 
5.4.4 同步清0加载计数器 
5.5 时序电路硬件设计与仿真示例 
5.5.1 编辑电路、创建工程和仿真测试 
5.5.2 fpga硬件测试 
习题 
实验与设计 
5-1 应用宏模块设计数字频率计 
5-2 计数器设计实验 
5-3 数码扫描显示电路设计 
5-4 模可控计数器设计 
5-5 串行静态显示控制电路设计 
5-6 高速硬件除法器设计 
5-7 不同类型的移位寄存器设计 
第6章 quartus ii应用深入 
6.1 signaltap ii的使用方法 
6.2 编辑signaltap ii的触发信号 
6.3 fitter settings项设置 
6.4 功能块chip planner应用 
6.4.1 chip planner应用流程说明 
6.4.2 chip planner说明 
6.5 synplify的应用及接口方法 
6.5.1 synplify使用流程 
6.5.2 synplify pro与quartus ii接口 
习题 
实验与设计 
6-1 vga彩条信号显示控制电路设计 
6-2 移位相加型8位硬件乘法器设计 
6-3 半整数与奇数分频器设计 
6-4 基于verilog代码的频率计设计 
第7章 lpm宏模块的应用 
7.1 计数器lpm宏模块调用 
7.1.1 计数器lpm模块文本代码的调用 
7.1.2 lpm计数器代码与参数传递语句 
7.1.3 创建工程与仿真测试 
7.2 利用属性控制乘法器的构建 
7.3 lpm_ram宏模块的设置与使用 
7.3.1 初始化文件及其生成 
7.3.2 以原理图方式对lpm_ram进行设置和调用 
7.3.3 测试lpm_ram 
7.3.4 存储器的verilog代码描述 
7.3.5 存储器设计的结构控制 
7.4 lpm_rom的定制和使用示例 
7.4.1 lpm_rom的调用 
7.4.2 简易正弦信号发生器设计 
7.4.3 正弦信号发生器硬件实现和测试 
7.5 在系统存储器数据读写编辑器应用 
7.6 lpm嵌入式锁相环调用 
7.7 in-system sources and probes editor使用方法 
7.8 数控振荡器核使用方法 
7.9 fir核使用方法 
7.10 dds实现原理与应用 
7.10.1 dds原理 
7.10.2 dds信号发生器设计示例 
习题 
实验与设计 
7-1 查表式硬件运算器设计 
7-2 正弦信号发生器设计 
7-3 简易逻辑分析仪设计 
7-4 dds正弦信号发生器设计 
7-5 移相信号发生器设计 
7-6 16位×16位高速硬件乘法器设计 
第8章 verilog设计深入 
8.1 过程中的两类赋值语句 
8.1.1 未指定延时的阻塞式赋值语句 
8.1.2 指定了延时的阻塞式赋值 
8.1.3 未指定延时的非阻塞式赋值 
8.1.4 指定了延时的非阻塞式赋值 
8.1.5 深入认识阻塞与非阻塞式赋值的特点 
8.1.6 不同的赋初值方式导致不同综合结果的示例 
8.2 过程语句归纳 
8.2.1 过程语句应用总结 
8.2.2 深入认识不完整条件语句与时序电路的关系 
8.3 if语句归纳 
8.3.1 if语句的一般表述形式 
8.3.2 关注if语句中的条件指示 
8.4 三态与双向端口设计 
8.4.1 三态控制电路设计 
8.4.2 双向端口设计 
8.4.3 三态总线控制电路设计 
习题 
实验与设计 
8-1 硬件消抖动电路设计 
8-2 4×4阵列键盘键信号检测电路设计 
8-3 直流电机综合测控系统设计 
8-4 vga简单图像显示控制模块设计 
8-5 乐曲硬件演奏电路设计 
第9章 verilog系统设计优化 
9.1 资源优化 
9.1.1 资源共享 
9.1.2 逻辑优化 
9.1.3 串行化 
9.2 速度优化 
9.2.1 流水线设计 
9.2.2 寄存器配平 
9.2.3 关键路径法 
9.2.4 乒乓操作法 
9.2.5 加法树法 
习题 
实验与设计 
9-1 采用流水线技术设计高速数字相关器 
9-2 线性反馈移位寄存器设计 
9-3 基于uart串口控制的模型电子琴设计 
9-4 ps2键盘控制模型电子琴电路设计 
9-5 am幅度调制信号发生器设计 
第10章 verilog状态机设计技术 
10.1 verilog状态机的一般形式 
10.1.1 状态机的特点与优势 
10.1.2 状态机的一般结构 
10.1.3 初始控制与表述 
10.2 moore型状态机及其设计 
10.2.1 多过程结构状态机 
10.2.2 序列检测器及其状态机设计 
10.3 mealy型状态机设计 
10.4 状态机图形编辑设计 
10.5 不同编码类型状态机 
10.5.1 直接输出型编码 
10.5.2 用宏定义语句定义状态编码 
10.5.3 宏定义命令语句 
10.5.4 顺序编码 
10.5.5 一位热码编码 
10.5.6 状态编码设置 
10.6 异步有限状态机设计 
10.7 安全状态机设计 
10.7.1 状态导引法 
10.7.2 状态编码监测法 
10.7.3 借助eda工具自动生成安全状态机 
10.8 硬件数字技术排除毛刺 
10.8.1 延时方式去毛刺 
10.8.2 逻辑方式去毛刺 
习题 
实验与设计 
10-1 序列检测器设计 
10-2 adc采样控制电路设计 
10-3 数据采集模块设计 
10-4 五功能智能逻辑笔设计 
第11章 16位实用cpu创新设计 
11.1 kx9016的结构与特色 
11.2 kx9016基本硬件系统设计 
11.2.1 单步节拍发生模块 
11.2.2 alu模块 
11.2.3 比较器模块 
11.2.4 基本寄存器与寄存器阵列组 
11.2.5 移位器模块 
11.2.6 程序与数据存储器模块 
11.3 kx9016v1指令系统设计 
11.3.1 指令格式 
11.3.2 指令操作码 
11.3.3 软件程序设计实例 
11.3.4 kx9016v1控制器设计 
11.3.5 指令设计实例详解 
11.4 kx9016的时序仿真与硬件测试 
11.4.1 时序仿真与指令执行波形分析 
11.4.2 cpu工作情况的硬件测试 
11.5 kx9016应用程序设计实例和系统优化 
11.5.1 乘法算法及其硬件实现 
11.5.2 kx9016v1的硬件系统优化 
习题 
实验与设计 
11-1 16位cpu验证性设计综合实验 
11-2 新指令设计及程序测试实验 
11-3 16位cpu的优化设计与创新 
11-4 cpu创新设计竞赛 
第12章 mcu与fpga片上系统开发 
12.1 fpga扩展mcu开发技术 
12.1.1 fpga扩展方案及其系统设计技术 
12.1.2 基于单片机ip软核的soc设计方案 
12.2 fpga扩展方案设计实例 
12.2.1 串进并出/并进串出双向端口扩展模块设计 
12.2.2 8位四通道数据交换扩展模块设计 
12.2.3 存储器读写的fpga扩展模块设计 
12.2.4 四通道pwm信号发生器接口模块设计 
12.2.5 李萨如图波形发生器扩展模块设计 
12.3 基于单片机核的fpga片上系统设计 
12.3.1 单片机扩展串进并出/并进串出模块的soc设计 
12.3.2 扩展sram模块的片上系统设计 
12.3.3 扩展移相信号发生器模块的片上系统设计 
实验与设计 
12-1 单片机串口扩展fpga片上系统设计 
12-2 单片机数据交换fpga扩展电路设计 
12-3 扩展外部数据存储器的fpga单片系统设计 
12-4 四通道pwm信号发生器及其mcu控制系统设计 
12-5 移相信号发生器和扫频信号发生器的片上系统设计 
12-6 李萨如图波形发生器的fpga片上系统设计 
12-7 脉宽/占空比/等精度频率多功能测试仪设计 
第13章 verilog语句语法补充说明 
13.1 verilog文字规则 
13.2 数据类型 
13.2.1 net网线类型 
13.2.2 register寄存器类型 
13.2.3 存储器类型 
13.3 操作符 
13.4 常用语句补充 
13.4.1 initial过程语句使用示例 
13.4.2 forever循环语句 
13.4.3 编译指示语句 
13.4.4 任务和函数语句 
13.5 用库元件实现结构描述 
习题 
实验与设计 
13-1 spwm脉宽调制控制系统设计 
13-2 点阵型与字符型液晶显示器驱动控制电路设计 
13-3 数字彩色液晶显示控制电路设计 
13-4 串行adc/dac控制电路设计 
第14章 verilog test bench仿真 
14.1 verilog行为仿真流程 
14.2 verilog测试基准实例 
14.3 verilog test bench测试流程 
14.4 verilog系统任务和系统函数 
14.4.1 系统任务和系统函数 
14.4.2 预编译语句 
14.5 延时模型 
14.5.1 #延时和门延时 
14.5.2 延时说明块 
14.6 其他仿真语句 
14.6.1 fork_join块语句 
14.6.2 wait语句 
14.6.3 force语句和release语句 
14.6.4 deassign语句 
14.7 仿真激励信号的产生 
14.8 verilog数字系统仿真 
习题 
实验与设计 
14-1 在modelsim上对计数器的test bench进行仿真 
14-2 在modelsim上进行16位累加器设计仿真 
附录 eda开发系统及相关软硬件 
参考文献 


展开全部
商品评论(0条)
暂无评论……
书友推荐
本类畅销
编辑推荐
返回顶部
中图网
在线客服